Курсовая работа: Разработка двоичного сумматора по модулю 13

(macrocells)

конъюнкт. термы

(productterms)

входы функц. блоков

(func. blockinputs)

xc9500xl 24 167 98 CoolRunner 18 91 39

Вариант 3

Проанализировав данную схему (рис.4.), можно сделать вывод о том, что она является наиболее сложной схемой, в плане реализации, а также по количеству использованных ресурсов ПЛИС (таблица 3).

Рис.4. Схема сумматора. Вариант 3.


Таблица 3.

Количество использованных ресурсов ПЛИС

макроячейки

(macrocells)

конъюнкт. термы

(productterms)

входы функц. блоков

(func. blockinputs)

xc9500xl 26 241 73
CoolRunner 20 67 29

2.3 Описание сумматора на языке VHDL

Данный способ реализации цифровых устройств пользуется наибольшей популярностью во всем мире. Ниже приведен текст на языке VHDL, описывающий поведение десятичного сумматора с кодом 7-4-2-1, и результаты трассировки данного варианта.

libraryIEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity sum_vhdl is

Port ( I : in std_logic_vector(8 downto 0);

O : out std_logic_vector(4 downto 0));

end sum_vhdl;

architecture Behavioral of sum_vhdl is

signal sum: std_logic_vector(4 downto 0);

signal sum_prom: std_logic_vector(3 downto 0);

signal A: std_logic_vector(3 downto 0);

К-во Просмотров: 379
Бесплатно скачать Курсовая работа: Разработка двоичного сумматора по модулю 13