Курсовая работа: Цифровой измеритель разности двух напряжений
Из полученных диаграмм можно сделать заключение о работоспособности отдельных модулей.
4.Исследование структурной модели устройства в целом с использованием моделей узлов
Для построения модели устройства в целом используется структурное описание. При этом модель представляется в виде совокупности отдельных модулей описанных ранее и связей между ними. Это позволяет применить принцип декомпозиции, значительно упрощающий процесс разработки модели.
В качестве внешних портов ввода-вывода устройства используются следующие:
Входное напряжение (Ua);
Входное напряжение (Ub);
вход сброса (Reset1);
выход знака разности (znak)
выход цифрового кода, эквивалентного разности напряжений (cod_out).
library IEEE;
use IEEE. STD_LOGIC_1164. all, IEEE. Numeric_STD. all;
entity V_metr is
port (Ua: in integer range - 5 to 5;
Ub: in integer range - 5 to 5;
Reset1: in std_logic;
znak: out std_logic;
cod_out: out unsigned (3 downto 0)) ;
end V_metr;
Ниже приведен листинг, описывающий работу устройства. Узлы, разработанные ранее, подключены в составе библиотеки "RGR" (library RGR).
Так же используются некоторые простейшие логические элементы (и, или), их описание не приводилось в виду очевидности.
architecture A10 of V_metr is
component GLIN
port (out1: out integer range 0 to 10;
not_out1: out integer range - 10 to 0);
end component;
component comp
port (U1: in integer range - 10 to 10;
U2: in integer range - 10 to 10;
U_out: out std_logic);
end component;