Реферат: Устройство преобразования цифровой информации с ее шифрованием

ADDR : in STD_LOGIC_VECTOR(7 downto 0);

DATA : in STD_LOGIC_VECTOR (31 downto 0);

Лист

Q : out STD_LOGIC_VECTOR (31 downto 0)

);

end entity;

architecture ram_arch of ram is

БлокОЗУ

type ram_mem_type is array (254 downto 0) of STD_LOGIC_VECTOR (31 downto 0);

signal ram_mem : ram_mem_type;

begin

process (WE, ADDR, DATA)

variable ADDR_TEMP: integer range 254 downto 0;

begin

if (WE = '1') then

ADDR_TEMP := CONV_INTEGER(ADDR);

ram_mem(ADDR_TEMP) <= DATA;

end if;

end process;

Q <= ram_mem(CONV_INTEGER(ADDR));

end architecture;

Блок-преобразователь параллельного кода в последовательный

clk load data reg so
0 0 X data data(0)
1 1 data data data(0)
1 0 X 0.data data(1)

VHDL КОД

library IEEE;

use IEEE.std_logic_1164.all;

entity regpiso is port (

);

end entity;

CLK : in std_logic; LOAD : in std_logic;

К-во Просмотров: 330
Бесплатно скачать Реферат: Устройство преобразования цифровой информации с ее шифрованием