Курсовая работа: Проектирование цифровых устройств в САПР ISE
begin
endBehavioral;
Для разработки описания разрабатываемой схемы на языке VHDL целесообразно использовать шаблоны языка («LanguageTemplate»). В списке шаблонов имеются описания счетчиков, мультиплексоров, дешифраторов и других узлов. Шаблоны языка могут быть скопированы и вставлены в проект, а затем изменены так, как удобно разработчику. Список шаблонов открывается при выборе пунктов меню EditÞLanguageTemplate. В открывшемся окне Templates необходимо выбрать пункт VHDL, а затем - SynthesisTemplates. В списке шаблонов выбирается FlipFlop, а затем шаблон D–триггера, который содержит следующие строки:
-- D Flip Flop
-- CLK: in STD_LOGIC;
-- DIN: in STD_LOGIC;
-- DOUT: out STD_LOGIC;
process (CLK)
begin
if CLK'event and CLK='1' then --CLK rising edge
DOUT <= DIN;
end if;
end process;
endBehavioral;
Эти строки надо вставить между строками begin и endBehavioral, окончательный вид исходного модуля будет иметь следующий вид:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity dtg_1 is
Port ( D : in std_logic;
CLK : in std_logic;
Q : out std_logic);
end dtg_1;
architecture Behavioral of dtg_1 is
begin
-- D Flip Flop
-- CLK: in STD_LOGIC;
-- DIN: in STD_LOGIC;